词条 | Zen (microarchitecture) |
释义 |
| name = AMD Zen | image = AMD_ZEN.png | image_size = 250px | caption = Logo for the Zen microarchitecture | transistors = 14 nm (FinFET)[1] | created = 2012–2017 | produced-start = Q1 2017[2] | designfirm = AMD | manuf1 = GlobalFoundries[1] | manuf2 = Samsung[3] | numcores = {{unbulleted list | 2–4 (essential) | 4–8 (mainstream) | 8–16 (enthusiast)[2][4][5][6] |Up to 32 (server)[2][7] | l1cache = 64 KiB instruction, 32 KiB data per core | l2cache = 512 KiB per core | l3cache = 8 MiB per quad-core CCX | predecessor = Excavator (4th gen) | successor = Zen+ | arch = AMD64 (x86-64) | sock1 = Socket AM4[8] | sock2 = Socket TR4 | sock3 = Socket SP3 | pcode1= Summit Ridge (Desktop) | pcode2= Whitehaven (HEDT) | pcode3= Raven Ridge (APU/Embedded) | pcode4= Naples (Server CPU) | pcode5= Snowy Owl (Server APU)[9] | TDP = {{unbulleted list | 35–65{{nbsp}}W (Desktop APUs) | 65–180{{nbsp}}W (Desktop/Server CPUs) | 5–35{{nbsp}}W (Notebook APUs) | Up to 250{{nbsp}}W (Server APUs) | brand1=Ryzen Ryzen Threadripper Epyc Athlon | cpuid=Family 17h }}Zen is the codename for a computer processor microarchitecture from AMD, and was first used with their Ryzen series of CPUs in February 2017.[2][10] The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs codenamed "Summit Ridge" reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017[11] and Zen-based APUs arrived in November 2017.[12] Zen is a clean sheet design that differs from the long-standing Bulldozer architecture. Zen-based processors use a 14 nm FinFET process, are reportedly more energy efficient, and can execute significantly more instructions per cycle. SMT has been introduced, allowing each core to run two threads. The cache system has also been redesigned, making the L1 cache write-back. Zen processors use three different sockets: desktop and mobile Ryzen chips use the AM4 socket, bringing DDR4 support; the high-end desktop Zen-based Threadripper chips support quad-channel DDR4 RAM and offer 64 PCIe 3.0 lanes (vs 24 lanes), using the TR4 socket;[13][14] and Epyc server processors offer 128 PCI 3.0 lanes and octal-channel DDR4 using the SP3 socket. Zen is based on a SoC design.[15] The memory, PCIe, SATA, and USB controllers are incorporated into the same chip as the processor cores. This has advantages in bandwidth and power, at the expense of chip complexity and die area.[16] This SoC design allows the Zen microarchitecture to scale from laptops and small-form factor mini PCs to high-end desktops and servers. DesignAccording to AMD, the main focus of Zen is on increasing per-core performance.[17][18][19] New or improved features include[20]:
The Zen architecture is built on a 14 nanometer FinFET process subcontracted to GlobalFoundries,[34] giving greater efficiency than the 32 nm and 28 nm processes of previous AMD FX CPUs and AMD APUs, respectively.[40] The "Summit Ridge" Zen family of CPUs use the AM4 socket and feature DDR4 support and a 95 W TDP (thermal design power).[35] While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.[36] Each Zen core can decode four instructions per clock cycle and includes a micro-op cache which feeds two schedulers, one each for the integer and floating point segments.[37][38] Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, and two are multiply-adders. However, using multiply-add-operations may prevent simultaneous add operation in one of the adder units.[39] There are also improvements in the branch predictor. The L1 cache size is 64 KiB for instructions per core and 32 KiB for data per core. The L2 cache size 512 KiB per core, and the L3 is 1–2 MB per core. L3 caches offer 5× the bandwidth of previous AMD designs. History and developmentAMD began planning the Zen microarchitecture shortly after re-hiring Jim Keller in August 2012.[40] AMD formally revealed Zen in 2015. The team in charge of Zen was led by Keller (who left in September 2015 after a 3-year tenure)[41] and AMD Senior Fellow and Chief Architect Michael Clark.[42][43][44] Zen was originally planned for 2017 following the ARM64-based K12 sister core, but on AMD's 2015 Financial Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe,[8] with the release of the first Zen-based processors expected for October 2016.[45] In November 2015, a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".[1][46] In December 2015, it was rumored that Samsung may be contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and AMD's then-upcoming Polaris GPU architecture.[47] This was clarified by AMD's July 2016 announcement that products had been successfully produced on Samsung's 14 nm FinFET process.[48] AMD stated Samsung would be used "if needed", arguing this would reduce risk for AMD by decreasing dependence on any one foundry. Advantages over predecessorsManufacturing processProcessors based on Zen use 14 nm FinFET silicon.[49] These processors are being produced at GlobalFoundries,[50] though reports state some Zen processors may also be produced at TSMC.[51] Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their Steamroller and Excavator microarchitectures.[52][53] The immediate competition, Intel's Skylake and Kaby Lake microarchitecture, are also fabricated on 14 nm FinFET;[54] though Intel planned to begin the release of 10 nm parts later in 2017.[55] In comparison to Intel's 14 nm FinFET, AMD claimed in February 2017 the Zen cores would be 10% smaller.[56] Intel has later announced in July 2018 that 10nm mainstream processors should not be expected before the second half of 2019.[57] For identical designs, these die shrinks would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125{{nbsp}}W, or ~45{{nbsp}}W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.[58] PerformanceOne of Zen's major goals is to focus on performance per-core, and it is targeting a 40% improvement in instructions per cycle (IPC) over its predecessor.[59] Excavator, in comparison, offered 4–15% improvement over previous architectures.[60][61] AMD announced the final Zen microarchitecture actually achieved 52% improvement in IPC over Excavator.[62] The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better use of available resources. The Zen processors also employ sensors across the chip to dynamically scale frequency and voltage.[63] This allows for the maximum frequency to be dynamically and automatically defined by the processor itself based upon available cooling. AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked Intel Broadwell-E processor in Blender rendering[2][9] and HandBrake benchmarks.[63] Zen supports AVX2 but it requires two clock cycles to complete each AVX2 instruction compared to Intel's one.[64][65] MemoryZen supports DDR4 memory (up to eight channels)[66] and ECC.[67] Pre-release reports stated APUs using the Zen architecture would also support High Bandwidth Memory (HBM).[68] However, the first demonstrated APU did not use HBM.[69] Previous APUs from AMD relied on shared memory for both the GPU and the CPU. Power consumption and heat outputProcessors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption. Zen is also expected to use clock gating,[38] reducing the frequency of underutilized portions of the core to save power. This will be through AMD's SenseMI technology, using sensors across the chip to dynamically scale frequency and voltage.[63] Enhanced security and virtualization supportZen added support for AMD's Secure Memory Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real-time memory encryption done per page table entry. Encryption occurs on a hardware AES engine and keys are managed by the onboard "Security" Processor (ARM Cortex-A5) at boot time to encrypt each page, allowing any DDR4 memory (including non-volatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and cold boot attacks.[70][71] {{pull quote|text=SME can be used to mark individual pages of memory as encrypted through the page tables. A page of memory that is marked encrypted will be automatically decrypted when read from DRAM and will be automatically encrypted when written to DRAM. The SME feature is identified through a CPUID function and enabled through the SYSCFG MSR. Once enabled, page table entries will determine how the memory is accessed. If a page table entry has the memory encryption mask set, then that memory will be accessed as encrypted memory. The memory encryption mask (as well as other related information) is determined from settings returned through the same CPUID function that identifies the presence of the feature.}}[72]The Secure Encrypted Virtualization (SEV) feature allows the memory contents of a virtual machine (VM) to be transparently encrypted with a key unique to the guest VM. The memory controller contains a high-performance encryption engine which can be programmed with multiple keys for use by different VMs in the system. The programming and management of these keys is handled by the AMD Secure Processor firmware which exposes an API for these tasks.[73] ConnectivityIncorporating much of the southbridge into the SoC, the Zen CPU includes SATA, USB, and PCI Express NVMe links.[74][75] This can be augmented by available Socket AM4 chipsets which add connectivity options including additional SATA and USB connections, and support for AMD's Crossfire and Nvidia's SLI.[76] AMD, in announcing its Radeon Instinct line, argued that the upcoming Zen-based Naples server CPU would be particularly suited for building deep learning systems.[77][78] The 128[79] PCIe lanes per Naples CPU allows for eight Instinct cards to connect at PCIe x16 to a single CPU. This compares favorably to the Intel Xeon line, with only 40{{cn|date=March 2018}} PCIe lanes. == Products == The Zen architecture is used in the current-generation desktop Ryzen CPUs. It is also in Epyc server processors (successor of Opteron processors), and APUs.[68]{{Unreliable source?|certain=y|date=February 2016}}[80][81] The first desktop processors without graphics processing units (codenamed "Summit Ridge") were initially expected to start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the AMD Accelerated Processing Unit type (codenamed "Raven Ridge") following in late 2017.[82] AMD officially delayed Zen until Q1 of 2017. In August 2016, an early demonstration of the architecture showed an 8-core/16-thread engineering sample CPU at 3.0 GHz.[9] In December 2016, AMD officially announced the desktop CPU line under the Ryzen brand for release in Q1 2017. It also confirmed Server processors would be released in Q2 2017, and mobile APUs in H2 2017.[83] On March 2, 2017, AMD officially launched the first Zen architecture-based octacore Ryzen desktop CPUs. The final clock speeds and TDPs for the 3 CPUs released in Q1 of 2017 demonstrated significant performance-per-watt benefits over the previous K15h (Piledriver) architecture.[84][85] The octacore Ryzen desktop CPUs demonstrated performance-per-watt comparable to Intel's Broadwell octacore CPUs.[86][87] In March 2017, AMD also demonstrated an engineering sample of a server CPU based on the Zen architecture. The CPU (codenamed "Naples") was configured as a dual-socket server platform with each CPU having 32 cores/64 threads.[2][9] Desktop processors{{Main article|Ryzen}}First Generation of Ryzen processors (Ryzen 1000 series): {{AMD Ryzen}}Mobile APUs{{AMD Ryzen Mobile}}Desktop APUs{{AMD Ryzen Desktop Processor with Radeon Vega Graphics}}Server processors{{Main article|Epyc}}AMD announced in March 2017 that it will release a server platform based on Zen, codenamed Naples, in the second quarter of the year. The platform will include 1- and 2-socket systems. The CPUs in multi-processor configurations will communicate via AMD's Infinity Fabric.[88] Each chip supports eight channels of memory and 128 PCIe 3.0 lanes, of which 64 lanes will be used for CPU-to-CPU communication through Infinity Fabric when installed in a dual-processor configuration.[89] AMD officially revealed Naples under the brand name Epyc in May 2017.[90] On June 20, 2017, AMD officially released the Epyc 7000 series CPUs at a launch event in Austin, Texas.[91] {{AMD Epyc}}See also{{Commons category|Zen microarchitecture}}{{Portal|Computing}}
References1. ^1 2 {{cite web|url=http://www.extremetech.com/computing/217664-globalfoundries-announces-14nm-validation-with-amd-silicon|title=GlobalFoundries announces 14nm validation with AMD Zen silicon|work=ExtremeTech}} 2. ^1 2 3 4 5 {{cite news|last1=Anthony|first1=Sebastian|title=AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017|url=https://arstechnica.com/gadgets/2016/08/amd-zen-performance-details-release-date/|accessdate=18 August 2016|publisher=Ars Technica|date=18 August 2016}} 3. ^{{cite web|url=http://english.etnews.com/20151222200002|title=Samsung Electronics Does Toll Manufacturing for AMD’s Next Chip|author=Juyeop Han|work=etnews}} 4. ^{{cite web|url=http://hexus.net/tech/news/cpu/82372-details-amd-zen-16-core-x86-apu-emerge/|title=Details of AMD Zen 16-core x86 APU emerge|publisher=}} 5. ^{{cite web|url=http://www.techpowerup.com/212161/amd-zen-based-8-core-desktop-cpu-arrives-in-2016-on-socket-fm3.html|title=AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3|work=TechPowerUp}} 6. ^{{cite news|last1=Kampman|first1=Jeff|title=Ryzen Threadripper CPUs will offer 16 cores and 32 threads|url=https://techreport.com/news/31919/ryzen-threadripper-cpus-will-offer-16-cores-and-32-threads|accessdate=16 May 2017|publisher=Tech Report|date=16 May 2017}} 7. ^{{cite news|last1=Kennedy|first1=Patrick|title=AMD EPYC New Details on the Emerging Server Platform|url=https://www.servethehome.com/amd-epyc-new-details-on-the-emerging-server-platform/|accessdate=16 May 2017|publisher=Serve the Home|date=16 May 2017}} 8. ^1 {{cite web |url=http://www.anandtech.com/show/9231/amds-20162017-x86-roadmap-zen-is-in|title=AMD’s 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out |author=Ryan Smith |date={{date|2015-05-06}} |publisher=AnandTech }} 9. ^1 2 3 {{cite news|last1=Kampman|first1=Jeff|title=AMD gives us our first real moment of Zen|url=https://techreport.com/review/30540/amd-gives-us-our-first-real-moment-of-zen|accessdate=18 August 2016|publisher=Tech Report|date=18 August 2016}} 10. ^{{cite news|last1=Linder|first1=Brad|title=AMD Ryzen chips coming in March, followed by Vega GPU in Q2, 2017|url=https://liliputing.com/2017/02/amd-ryzen-chips-coming-march-followed-vega-gpu-q2-2017.html|accessdate=1 February 2017|publisher=Liliputing|date=1 February 2017}} 11. ^{{cite web|last1=Cutress|first1=Ian|title=AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis|url=http://www.anandtech.com/show/11551/amds-future-in-servers-new-7000-series-cpus-launched-and-epyc-analysis|website=AnandTech|accessdate=8 August 2017}} 12. ^{{cite web|url=http://store.hp.com/us/en/pdp/hp-envy-x360-convertible-laptop-15z-touch-1za07av-1|title=HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store|website=store.hp.com}} 13. ^{{cite web|url=http://www.pcworld.com/article/3020184/computers/amd-zen-based-cpus-and-apus-will-unify-around-socket-am4.html|title=AMD Zen-based CPUs and APUs will unify around Socket AM4|date=8 January 2016|author=Brad Chacos|work=PCWorld}} 14. ^{{Cite web|url=https://www.amd.com/en/products/ryzen-threadripper|title=Ryzen™ Threadripper™ Processors {{!}} AMD|website=www.amd.com|language=en|access-date=2017-09-29}} 15. ^{{Cite news|url=http://www.pcworld.com/article/3124306/hardware/dont-call-amds-upcoming-zen-chip-a-cpu.html|title=How AMD's powerful Zen chip flouts the SoC stereotype|work=PCWorld|access-date=2017-03-08|language=en}} 16. ^{{cite news|last1=Cutress|first1=Ian|title=Early AMD Zen Server CPU and Motherboard Details|url=http://www.anandtech.com/show/10581/early-amd-zen-server-cpu-and-motherboard-details-codename-naples-32cores-dual-socket-platforms-q2-2017|accessdate=22 March 2017|publisher=Anandtech|date=18 August 2016}} 17. ^{{cite web|url=http://www.techspot.com/news/60626-tech-reading-amd-zen-comeback.html|title=Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot|publisher=techspot.com|accessdate=2015-05-12}} 18. ^{{cite web|url=http://techreport.com/review/28228/amd-zen-chips-headed-to-desktops-servers-in-2016|title=AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1|publisher=techreport.com|accessdate=2015-05-12}} 19. ^{{cite news|url=http://www.kitguru.net/components/cpu/anton-shilov/amd-bulldozer-was-not-a-game-changer-but-next-gen-zen-x86-core-will-be/ | work=KitGuru | title=AMD: ‘Bulldozer’ was not a game-changer, but next-gen ‘Zen’ will be | date=11 September 2014 |author=Anton Shilov | accessdate=1 February 2015}} 20. ^[https://support.amd.com/TechDocs/55723_SOG_Fam_17h_Processors_3.00.pdf Software Optimization Guide for AMD Family 17h Processors] / AMD, June 2017 21. ^{{cite web|url=http://techfrag.com/2015/05/08/amd-zen-confirmed-for-2016-features-40-ipc-improvement-over-excavator/|title=AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator|publisher=}} 22. ^{{Cite web|url=http://www.anandtech.com/show/11170/the-amd-zen-and-ryzen-7-review-a-deep-dive-on-1800x-1700x-and-1700/9 |title=The Core Complex, Caches, and Fabric |author=Ian Cutress |date=2017-03-02 |accessdate=2017-06-21}} 23. ^{{cite web|last1=Clark|first1=Mike|title=A New x86 Core Architecture for the Next Generation of Computing|url=http://www.hotchips.org/wp-content/uploads/hc_archives/hc28/HC28.23-Tuesday-Epub/HC28.23.90-High-Perform-Epub/HC28.23.930-X86-core-MikeClark-AMD-final_v2-28.pdf|publisher=AMD|page=7|archiveurl=https://web.archive.org/web/20161126170540/http://www.hotchips.org/wp-content/uploads/hc_archives/hc28/HC28.23-Tuesday-Epub/HC28.23.90-High-Perform-Epub/HC28.23.930-X86-core-MikeClark-AMD-final_v2-28.pdf|archivedate=2016-11-26|dead-url=no}} 24. ^{{cite web|url=http://www.anandtech.com/show/10578/amd-zen-microarchitecture-dual-schedulers-micro-op-cache-memory-hierarchy-revealed|title=AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed|first=Ian|last=Cutress|publisher=}} 25. ^{{cite web|last1=Mujtaba|first1=Hassan|title=AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design|url=http://wccftech.com/amd-zen-architecture-hot-chips/|website=WCCFtech|accessdate=23 August 2016}} 26. ^{{cite web|last1=Walrath|first1=Josh|title=AMD Zen Architecture Overview: Focus on Ryzen {{!}} PC Perspective|url=https://www.pcper.com/reviews/Processors/AMD-Zen-Architecture-Overview-Focus-Ryzen|website=PC Perspective|accessdate=13 March 2017|language=en}} 27. ^{{Cite web|url=http://www.jilp.org/cbp2014/paper/DanielJimenez.pdf|title=Strided Sampling Hashed Perceptron Predictor|last=Jiménez|first=Daniel|date=|website=|publisher=Texas A&M University|access-date=}} 28. ^{{Cite web|url=https://www.theregister.co.uk/2016/08/22/samsung_m1_core/|title='Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain|last=Williams|first=Chris|date=|website=The Register|publisher=|access-date=}} 29. ^{{Cite web|url=http://www.agner.org/optimize/microarchitecture.pdf|title=The microarchitecture of Intel, AMD and VIA CPUs|last=Fog|first=Agner|date=|website=|publisher=Technical University of Denmark|access-date=}} 30. ^1 {{cite news|url=https://www.phoronix.com/scan.php?page=news_item&px=AMD-Zen-CPU-Znver1|title=AMD Starts Linux Enablement On Next-Gen "Zen" Architecture|date=17 March 2015|publisher=Phoronix|accessdate=17 March 2015}} 31. ^{{cite web|url=https://www.amd.com/en-us/press-releases/Pages/amd-takes-computing-2016dec13.aspx|title=AMD Takes Computing to a New Horizon with Ryzen™ Processors|website=www.amd.com}} 32. ^{{Cite web|url=https://www.custompcreview.com/wiki/xfr/|title=XFR|last=Chen|first=Sam|date=24 June 2017|website=Custom PC Review|publisher=|access-date=26 July 2017}} 33. ^{{cite web|url=http://www.mystatesman.com/news/business/amid-challenges-chipmnaker-amd-sees-a-way-forward/nngdf/|title=Amid challenges, chipmaker AMD sees a way forward|author=Kirk Ladendorf - For the American-Statesman|publisher=}} 34. ^{{citation| url = http://hothardware.com/news/amd-shipping-zen-in-limited-volumes-q4| title = AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017| first = Paul| last = Lilly| date =23 July 2016| work = hothardware.com|quote = Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process}} 35. ^1 {{cite news|url=http://news.softpedia.com/news/14nm-AMD-Zen-CPU-Will-Have-DDR4-and-Simultaneous-Multithreading-471401.shtml | publisher=Softpedia | title=14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading | date=28 January 2015 | accessdate=31 January 2015}} 36. ^{{cite news | url=http://www.shattered.media/amds-next-gen-cpu-zen/ | publisher=Shattered.Media | title=AMD's next gen CPU Zen | date=May 2015 | archiveurl=https://web.archive.org/web/20151117024542/http://www.shattered.media/amds-next-gen-cpu-zen/ | archivedate=2015-11-17 }} 37. ^{{cite web|title=AMD's Zen core (family 17h) to have ten pipelines per core|url=http://dresdenboy.blogspot.fi/2015/10/amds-zen-core-family-17h-to-have-ten.html}} 38. ^1 {{cite news|last1=Cutress|first1=Ian|title=AMD Zen Microarchitecture|url=http://www.anandtech.com/show/10578/amd-zen-microarchitecture-dual-schedulers-micro-op-cache-memory-hierarchy-revealed|accessdate=18 August 2016|publisher=Anandtech|date=18 August 2016}} 39. ^AMD, "Software Optimization Guider for AMD Family 17h Processors" 40. ^{{cite av media |url= https://www.youtube.com/watch?v=SOTFE7sJY-Q |title= Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core|date=7 May 2014 |work= YouTube}} 41. ^{{cite web|url= http://anandtech.com/show/9643/jim-keller-leaves-amd|title=Jim Keller Leaves AMD|publisher= Anand tech|accessdate= 2015-10-14}} 42. ^{{cite news|last1=Merritt|first1=Rick|title=AMD Reveals Zen of X86|url=http://www.eetimes.com/document.asp?doc_id=1330348|accessdate=3 March 2017|publisher=EE Times|date=24 August 2016}} 43. ^{{cite news|last1=TAKAHASHI|first1=Dean|title=How AMD designed what could be its most competitive processors in a decade|url=https://venturebeat.com/2016/08/24/how-amd-designed-what-could-be-its-most-competitive-processors-in-a-decade/|accessdate=3 March 2017|publisher=VentureBeat|date=24 August 2016}} 44. ^{{cite news|last1=Wong|first1=Adrian|title=Joe Macri : The Disruptive Nature of AMD Ryzen|url=http://www.techarp.com/articles/joe-macri-disruptive-nature-amd-ryzen/|accessdate=20 April 2017|publisher=TechArp|date=18 April 2017}} 45. ^{{cite news|url=http://www.kitguru.net/components/cpu/anton-shilov/amd-set-to-release-first-zen-based-microprocessors-in-late-2016-document | website=KitGuru.net | title=AMD set to release first ‘Zen’-based microprocessors in late 2016 – document | date=12 June 2015 | accessdate=30 August 2015}} 46. ^{{cite web|url=http://www.overclock3d.net/articles/cpu_mainboard/amd_tests_zen_cpus_met_all_expectation_with_no_significant_bottlenecks_found/1|title=OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found|publisher=}} 47. ^{{Citation | title = Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node | newspaper = Tech power up | URL = https://www.techpowerup.com/218578/samsung-to-fab-amd-zen-and-arctic-islands-on-its-14-nm-finfet-node.html}}. 48. ^{{cite news|last1=Moorhead|first1=Patrick|title=AMD Officially Diversifies 14nm Manufacturing With Samsung|url=https://www.forbes.com/sites/patrickmoorhead/2016/07/25/amd-diversifies-14nm-manufacturing-with-samsung/#4e63559550fb|accessdate=26 July 2016|publisher=Forbes|date=25 July 2016}} 49. ^{{cite web|url=http://www.extremetech.com/extreme/198386-amds-next-gen-cpu-leak-14nm-simultaneous-multithreading-and-ddr4-support|title=AMD’s next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support|work=ExtremeTech}} 50. ^{{cite news|last1=Rulison|first1=Larry|title=Reports: Chip made by GlobalFoundries beats Intel|url=http://www.timesunion.com/local/article/Reports-Chip-made-by-GlobalFoundries-beats-Intel-9176925.php|accessdate=22 August 2016|publisher=Times Union|date=22 August 2016}} 51. ^{{cite news|last1=Hruska|first1=Joel|title=Confirmed: GlobalFoundries will manufacture AMD’s mobile, low-power Polaris GPUs|url=http://www.extremetech.com/gaming/220603-confirmed-globalfoundries-will-manufacture-amds-mobile-low-power-polaris-gpus|accessdate=22 August 2016|publisher=ExtremeTech|date=7 January 2016}} 52. ^{{cite web|url=http://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-have-taped-out-our-first-finfet-products/|title=AMD: We have taped out our first FinFET products|work=KitGuru}} 53. ^{{cite web|url=http://www.theinquirer.net/inquirer/news/2321439/ces-amd-finally-unveils-28nm-apu-kaveri-to-battle-intel-haswell|title=CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell|work=The Inquirer}} 54. ^{{cite web | url=http://www.digitimes.com/news/a20160302PD204.html | title=Intel Kaby Lake to compete against AMD Zen at end of 2016 | date=2016-03-02 | accessdate=2016-03-07 | quote=Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.}} 55. ^{{cite web |url=http://www.channelpro.co.uk/advice/9797/amd-zen-a-serious-challenge-to-intel-7 |title=AMD Zen: A serious challenge to Intel? |author=Edward Jones |publisher=Channel Pro |date=21 Oct 2016}} 56. ^{{cite news|last1=Manion|first1=Wayne|title=AMD touts Zen die size advantage at ISSCC|url=https://techreport.com/news/31402/amd-touts-zen-die-size-advantage-at-isscc|accessdate=10 February 2017|publisher=Tech Report|date=8 February 2017}} 57. ^https://arstechnica.com/gadgets/2018/07/intel-says-not-to-expect-mainstream-10nm-chips-until-2h19/ 58. ^{{cite web|title=Intel’s ‘Tick-Tock’ Seemingly Dead, Becomes ‘Process-Architecture-Optimization’|url=http://www.anandtech.com/show/10183/intels-tick-tock-seemingly-dead-becomes-process-architecture-optimization|website=Anandtech|accessdate=23 March 2016}} 59. ^{{cite news|last1=Smith|first1=Ryan|title=AMD Briefly Shows Off Zen "Summit Ridge" Silicon|url=http://www.anandtech.com/show/10391/amd-briefly-shows-off-zen-summit-ridge-silicon|accessdate=7 June 2016|date=31 May 2016}} 60. ^{{cite web|url=http://wccftech.com/amd-zen-architecture-release-schedule-revealed-rolled-server-market/|title=AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016|date=7 May 2015|publisher=}} 61. ^{{cite web|url=http://www.anandtech.com/show/9319/amd-launches-carrizo-the-laptop-leap-of-efficiency-and-architecture-updates/4|title=IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates|author=Ian Cutress|publisher=Anandtech|date=June 2, 2015}} 62. ^{{cite news|last1=Cutress|first1=Ian|title=AMD Launches Zen|url=http://www.anandtech.com/show/11143/amd-launch-ryzen-52-more-ipc-eight-cores-for-under-330-preorder-today-on-sale-march-2nd|accessdate=22 February 2017|publisher=Anandtech.com|date=22 February 2017}} 63. ^1 2 {{cite news|last1=Kampman|first1=Jeff|title=AMD crests Summit Ridge with Ryzen CPUs|url=https://techreport.com/review/31105/amd-crests-summit-ridge-with-ryzen-cpus|accessdate=13 December 2016|publisher=TechReport|date=13 December 2016}} 64. ^{{cite web|url=http://www.anandtech.com/show/10591/amd-zen-microarchiture-part-2-extracting-instructionlevel-parallelism/7|title=AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism|first=Ian|last=Cutress|publisher=}} 65. ^{{cite web|url=http://www.eurogamer.net/articles/digitalfoundry-2017-how-amds-ryzen-will-disrupt-the-cpu-market|title=In Theory: How AMD's Ryzen will disrupt the gaming CPU market|first=Richard|last=Leadbetter|date=22 February 2017|publisher=}} 66. ^{{cite web|url=http://www.techspot.com/news/63796-amd-zen-cpu-up-32-cores.html|title=AMD's Zen processors to feature up to 32 cores, 8-channel DDR4|work=TechSpot}} 67. ^{{cite news|last1=MAC|title=ECC Memory & AMD's Ryzen - A Deep Dive|url=http://www.hardwarecanucks.com/forum/hardware-canucks-reviews/75030-ecc-memory-amds-ryzen-deep-dive.html|accessdate=14 July 2017|publisher=Hardware Canucks|date=30 March 2017}} 68. ^1 {{cite web|url=http://hexus.net/tech/news/cpu/89246-zen-based-apu-hbm-amd-carrizo-successor/|title=Zen-based APU with HBM to be AMD Carrizo successor|publisher=}} 69. ^{{cite news|last1=Shrout|first1=Ryan|title=Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics|url=https://www.pcper.com/news/Processors/Computex-2017-AMD-Demos-Ryzen-Mobile-SoC-Vega-Graphics|accessdate=2 June 2017|publisher=PC Perspective|date=30 May 2017}} 70. ^{{cite web|url=http://lkml.iu.edu/hypermail/linux/kernel/1604.3/02551.html|title=[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)}} 71. ^{{cite web|url=http://developer.amd.com/wordpress/media/2013/12/AMD_Memory_Encryption_Whitepaper_v7-Public.pdf|title=AMD MEMORY ENCRYPTION WHITEPAPER}} 72. ^{{cite web|url=http://lkml.iu.edu/hypermail/linux/kernel/1604.3/02533.html|title=LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption}} 73. ^{{cite web|url=http://support.amd.com/TechDocs/55766_SEV-KM%20API_Spec.pdf|title=AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016}} 74. ^{{cite news|last1=L|first1=Alex|last2=Walrath|first2=Josh|title=Podcast #432 - Kaby Lake, Vega, CES Review|url=https://www.pcper.com/news/Editorial/Podcast-432-Kaby-Lake-Vega-CES-Review|accessdate=13 January 2017|publisher=PC Perspective|date=12 January 2017}} 75. ^{{cite news|last1=Mah Ung|first1=Gordon|title=How AMD's powerful Zen chip flouts the SoC stereotype|url=http://www.pcworld.com/article/3124306/hardware/dont-call-amds-upcoming-zen-chip-a-cpu.html|accessdate=13 January 2017|publisher=PC World|date=28 September 2016}} 76. ^{{cite news|last1=Justin|first1=Michael|last2=Sexton|first2=Allen|title=AMD's AM4 Ryzen Chipsets|url=http://www.tomshardware.com/news/amd-am4-ryzen-chipsets,33806.html|accessdate=3 March 2017|publisher=Tom's Hardware|date=3 March 2017}} 77. ^{{cite news|last1=Smith|first1=Ryan|title=AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017|url=http://www.anandtech.com/show/10905/amd-announces-radeon-instinct-deep-learning-2017|accessdate=12 December 2016|publisher=Anandtech|date=12 December 2016}} 78. ^{{cite news|last1=Shrout|first1=Ryan|title=Radeon Instinct Machine Learning GPUs include Vega, Preview Performance|url=https://www.pcper.com/reviews/Graphics-Cards/Radeon-Instinct-Machine-Learning-GPUs-include-Vega-Preview-Performance|accessdate=12 December 2016|publisher=PC Per|date=12 December 2016}} 79. ^{{Cite news|url=https://wccftech.com/amd-naples-server-chip-32-core-64-thread-preview/|title=AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed|last=Mujtaba|first=Hassan|date=2017-03-07|work=Wccftech|access-date=2018-11-24|language=en-US}} 80. ^{{cite web|url=http://www.techtimes.com/articles/111041/20151127/amd-zen-fx-cpus-apus-release-details-surface-top-notch-performance-in-the-cards.htm|title=AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards|work=Tech Times}} 81. ^{{cite web|url=http://www.kitguru.net/components/cpu/anton-shilov/32-core-amd-opteron-to-feature-quad-die-mcm-design/|title=32-core AMD Opteron to feature quad-die MCM design|work=KitGuru}} 82. ^{{cite web | url =http://www.pcgameshardware.de/CPU-Hardware-154106/Specials/Roadmap-CPUs-Prozessoren-Liste-AMD-Intel-1130335/ | title =CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht| author =Mark Mantel | date =7 February 2017 | website =PC Games Hardware |access-date=7 February 2017 |language=German}} 83. ^{{cite news|last1=Larabel|first1=Michael|title=AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet|url=https://www.phoronix.com/scan.php?page=news_item&px=AMD-Ryzen-New-Horizon|accessdate=13 December 2016|publisher=Phoronix|date=13 December 2016}} 84. ^{{Cite news|url=http://www.tomshardware.com/reviews/fx-8350-vishera-review,3328-16.html|title=Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?|date=2012-10-22|work=Tom's Hardware|access-date=2017-03-12|language=en}} 85. ^{{Cite news|url=http://www.tomshardware.com/reviews/amd-ryzen-7-1800x-cpu,4951-11.html|title=AMD Ryzen 7 1800X: Power Consumption And Temperatures|date=2017-03-02|work=Tom's Hardware|access-date=2017-03-12|language=en}} 86. ^{{Cite news|url=https://www.bit-tech.net/hardware/2017/03/02/amd-ryzen-1800x-and-am4-platform-review/8|title=AMD Ryzen 7 1800X and AM4 Platform Review|work=bit-tech|access-date=2017-03-12|language=en}} 87. ^{{Cite web|url=https://www.pcper.com/reviews/Processors/AMD-Ryzen-7-1800X-Review-Now-and-Zen/Power-Consumption-and-Conclusions|title=The AMD Ryzen 7 1800X Review: Now and Zen {{!}} Power Consumption and Conclusions|website=www.pcper.com|language=en|access-date=2017-03-12}} 88. ^{{cite news|last1=Kampman|first1=Jeff|title=AMD's Naples platform prepares to take Zen into the datacenter|url=https://techreport.com/news/31549/amd-naples-platform-prepares-to-take-zen-into-the-datacenter|accessdate=7 March 2017|publisher=Tech Report|date=7 March 2017}} 89. ^{{cite news|last1=Cutress|first1=Ian|title=AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2|url=http://www.anandtech.com/show/11183/amd-prepares-32-core-naples-cpus-for-1p-and-2p-servers-coming-in-q2|accessdate=7 March 2017|publisher=Anandtech|date=7 March 2017}} 90. ^{{cite news|last1=Kampman|first1=Jeff|title=AMD's Naples datacenter CPUs will make an Epyc splash|url=https://techreport.com/news/31916/amd-naples-datacenter-cpus-will-make-an-epyc-splash|accessdate=16 May 2017|publisher=Tech Report|date=16 May 2017}} 91. ^{{Cite web|url=https://venturebeat.com/2017/06/20/amd-launches-broad-epyc-server-line-with-up-to-32-cores-per-chip/|title=AMD launches broad Epyc server processor line with up to 32 cores per chip|date=2017-06-20|website=VentureBeat|access-date=2017-08-08}} External links
2 : Advanced Micro Devices x86 microprocessors|Advanced Micro Devices microarchitectures |
随便看 |
|
开放百科全书收录14589846条英语、德语、日语等多语种百科知识,基本涵盖了大多数领域的百科知识,是一部内容自由、开放的电子版国际百科全书。